Linki
|
blogwery
Temat: Kodowanie Huffmana POMOCY ...problem z napisaniem testbencha do kodowania huffmana przedstawiam kody i prosiłbym o pomoc jak to powinno wygladac i czy dobrze jest to napisane
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity koder_dekoder is
Port ( I : in STD_LOGIC_VECTOR (1 downto 0);
O : out STD_LOGIC_VECTOR (1 downto 0));
end Źródło: elektroda.pl/rtvforum/topic1628059.html
Temat: Kodowanie Huffmana POMOCY ...Już sobie dałem rade poszperałem na necie i mam na stepujące przebiegi wynikajace z wymuszeń
Mój testbench wygłąda teraz następująco
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY TEST_vhd IS
END TEST_vhd;
ARCHITECTURE behavior OF TEST_vhd IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT koder_dekoder
PORT(
I : IN std_logic_vector(1 downto 0);
O : OUT std_logic_vector(1 downto 0)
);
END COMPONENT;
--Inputs
SIGNAL I_0 : std_logic_vector(1 downto 0) := (others=>'0');
--Outputs
SIGNAL O_0 : std_logic_vector(1 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Źródło: elektroda.pl/rtvforum/topic1628059.html
zanotowane.pldoc.pisz.plpdf.pisz.pljacek94.htw.pl
|